Cursos / Eletrônica / Sistemas Digitais / Aula

arrow_back Aula 07 - Circuitos combinacionais

Atividade 12

  1. Crie um projeto chamado somador_8bits
  2. Adicione o código VHDL abaixo
  3. Em diversos momento do código são utilizados comandos como S(I) ou A(I), onde S e A são vetores e I é um inteiro. O que isto faz? Procure na internet.
  4. Entenda o que o código está fazendo. Se não conseguir entender tudo, pergunte o que não entendeu ao Tutor
  5. Simule o código abaixo utilizando o Simulation Waveform Editor
  6. A simulação está correta?

Versão 5.3 - Todos os Direitos reservados