Cursos / Eletrônica / Sistemas Digitais / Aula

arrow_back Aula 15 - Introdução a Microprocessadores, Microcontroladores e Processadores Digitais de Sinais

Atividade 07

Verifique o código simplificado do cronômetro a seguir e faça o que se pede:

  1. Digite e compile o código no projeto criado anteriormente. Entenda o que ele está fazendo. Para melhorar o seu entendimento, monte a forma de onda do código. Somente siga para a próxima questão se entender completamente o que o código se propõe a fazer.
  2. Modifique as variáveis inteiras de entrada para que elas somente possuam números entre 0 e 9. Compile e teste.
  3. Modifique o código para que a contagem somente ocorra na borda de subida do clock. Compile e teste.
  4. Todo cronômetro necessita de um botão que permite parar a contagem para que ela possa ser retomada futuramente. Para tal, adicione uma variável de entrada chamada enable (habilitar, em tradução livre) que:

    - Caso enable seja '0': Não há contagem, independente da borda de subida do clock;

    - Caso enable seja '1': Existe contagem na borda de subida do clock.

    Compile e teste.

  5. Ainda que exista o botão de parar (feito na questão anterior), é necessário um botão que reinicie a contagem. Para tal, adicione uma variável de entrada chamada reset (reiniciar, em tradução livre) que:

    - Caso reset seja '0': A contagem do número acontece normalmente, conforme enable;

    - Caso reset seja '1': O número será zerado, independente de enable e clk.

    Compile e teste.

  6. A contagem deve ser impressa em um display de 7 segmentos. Utilize o decodificador BCD de 7 segmentos de aula passada para modificar o código de forma que, agora, ele possa mostrar os números do display de 7 segmentos.

    Compile e teste.

DICA: As saídas dezena e unidade deverão ser substituídas por outras que serão utilizadas na impressão da contagem no display de 7 segmentos.

Versão 5.3 - Todos os Direitos reservados