Cursos / Eletrônica / Sistemas Digitais / Aula

arrow_back Aula 06 - Descrevendo e Simulando Circuito em VHDL

Compilando e Simulando

Ocorreu algum erro na compilação? Se não, parabéns! Você realmente estudou o assunto. Se sim, citaremos alguns dos principais erros na programação em VHDL (na lista abaixo) para que verifique se seu erro pertence a algum desses citados.

  • O projeto, o arquivo com extensão “vhd” e a entidade têm o mesmo nome?
  • Foram colocados ponto-e-vírgula nos lugares necessários? Como exemplo, no final de instruções, final da entidade, final da arquitetura etc.
  • Foram colocadas as variáveis necessárias nos argumentos do “process”?
  • Foram colocados o “begin” e o “end” do “process”?

Caso o seu erro não tenha sido reportado aqui, leia novamente a aula e caso persista o erro, procure a tutoria ou a monitoria.


Atividade 04

  1. Simule o circuito no Simulation Waveform Editor utilizando valores aleatórios. Verifique se as saídas estão corretas de acordo com a entrada.

A simulação foi de acordo com o que você esperava? Qualquer erro, você deve refazer este roteiro.

Com os conhecimentos adquiridos até agora, nós já conhecemos as principais portas lógicas e sabemos montar sua tabela verdade. Também sabemos escrever as expressões lógicas a partir de qualquer tabela verdade. Em VHDL conseguimos descrever estes circuitos em código utilizando os elementos básicos de programação (estruturas de decisão, loops). Agora é com você! Muitas das questões online, desafio e exercícios presenciais utilizam programação em VHDL. Pratique bastante no VHDL utilizando todos o que você aprendeu.

Versão 5.3 - Todos os Direitos reservados