Cursos / Eletrônica / Sistemas Digitais / Aula

arrow_back Aula 04 - VHDL – Parte I

Ponto de Partida

O VHDL é uma linguagem que foi desenvolvida pelo Departamento de Defesa Americano no início da década de 1980 como uma forma de documentar projetos no programa de circuitos integrados de velocidade muito alta (VHSIC).

Assim, o VHDL se tornou uma das principais linguagens para programação de hardware de alto nível. A linguagem foi padronizada pela IEEE (Instituto de Engenheiros Elétricos e Eletrônicos), o que a tornou atraente para engenheiros e criadores de ferramentas e softwares de circuitos digitais.

Sabemos que para programar devemos ter uma interface para programação. Utilizaremos um software, ou seja, uma interface chamada Quartus. Essa interface foi desenvolvida por um dos grandes fabricantes de FPGAs, a Altera Corporation <www.altera.com>.

Escolhemos essa interface porque os kits de desenvolvimento para as aulas práticas são desse fabricante, Altera.

Quartus da Altera

O software Quartus WebEdition será passado à vocês em aula presencial. Você pode visitar http://dl.altera.com/13.1/?edition=web (acesso em 25 Jun. 2015) e descobrir mais sobre o software.

Estruturas Básicas do VHDL

Podemos dizer que um sistema digital de VHDL consiste no projeto (design). Nesse projeto estaremos descrevendo o circuito lógico ou sistema digital. Se pensarmos, por exemplo, em uma porta lógica que já aprendemos, teremos as entradas, as saídas e a função da porta lógica. A função da porta lógica pode ser, por exemplo: AND, OR, NAND, NOR etc...

O nosso programa em VHDL seguirá essa mesma estrutura, entradas, saídas e função a ser executada no programa. A parte do programa em que colocamos, ou, podemos dizer, declaramos as nossas entradas e saídas, é chamado ENTITY (entidade). Cada entidade (entity) é modelada pela declaração da sua entidade e da arquitetura. Olhando a Figura 1, veremos que o nosso programa ou projeto conterá a declaração da entidade. Podemos considerar a declaração da entidade como a interface com o mundo externo que define os sinais de entrada e saída. A arquitetura (architecture) contém a descrição da entidade, ou seja, a descrição da função do circuito. Em um típico projeto podemos ter várias entidades conectadas para realizar uma função desejada.

 Estruturas básicas de um projeto VHDL.

Versão 5.3 - Todos os Direitos reservados