Os materiais didáticos aqui disponibilizados estão licenciados através de Creative Commons Atribuição-SemDerivações-SemDerivados CC BY-NC-ND. Você possui a permissão para visualizar e compartilhar, desde que atribua os créditos do autor. Não poderá alterá-los e nem utilizá-los para fins comerciais.
Atribuição-SemDerivações-SemDerivados
CC BY-NC-ND
Cursos / Automação Industrial / Sistemas Digitais / Aula
Dentre as estruturas de VHDL, é importante não deixarmos de citar as bibliotecas. Como em qualquer linguagem, podemos fazer as chamadas a bibliotecas (library, em inglês) para utilizarmos, em nossos programas, variáveis, sinais, operações já prontas. A biblioteca pode ser considerada o lugar onde guardamos informações do projeto.
O pacote (package) de VHDL é um arquivo ou módulo que contém as declarações ou objetos que desejamos utilizar. Aqui, vamos nos ater a uma biblioteca mais utilizada em VHDL para que possamos utilizar o tipo de dado std_logic. Isso é possível se utilizarmos a biblioteca (library) da IEEE e a palavra use para chamar o pacote. Assim, antes de definir a entidade devemos realizar as seguintes chamadas:
library ieee;
use ieee.std_logic_1164.all;
A extensão .all indica que estamos utilizando todos os pacotes. Existem vários outros pacotes que também podem ser utilizados. Conforme a necessidade, eles serão introduzidos.
Agora vamos ver o exemplo de um programa completo que descreve uma porta AND.
library ieee; -- Declarando as bibliotecas e pacotes a serem utilizados.
use ieee.std_logic_1164.all;
entity ExemploAND is -- Entidade <nome da entidade> é (is)
port (in1, in2: in std_logic; -- Declaramos as portas de entrada e saída
out1: out std_logic);
end ExemploAND; -- Finalizamos a entidade
architecture exemplo2 of ExemploAND is
-- Arquitetura <nome da arquitetura> pertencente (of) à entidade <nome da entidade> é (is)
begin -- Início da descrição de arquitetura, com termo "begin"
out1 <= in1 and in2;
end exemplo2; -- Finalizamos a arquitetura
Depois de um breve introdução sobre as estruturas básicas, vamos conhecer algumas regras básicas da linguagem:
Versão 5.3 - Todos os Direitos reservados